検索結果 3 件

デジタル記事
2007-12-01IEICE Transactions on Information and SystemsE90-D 12p.1956-1966
インターネットで読める全国の図書館
  • 要約等Extracting frequently ex......ortions of the application and executing their corresponding data flow graph (DFG) on the hardware accelerator brings about more speedup and energy saving for embedded systems comprising a base processor integrated with a tightly coupled accelerator. Extending DFGs to support control instructions and using Control DFGs (CDFGs) instead of DFGs results in more coverage of application code portion are being accelerated hence, more speedup and energy saving. In this paper, motivations for extending DFGs to CDFGs and handling control instructions are introduced. In addition, basic requirements for an accele...
  • 件名reconfigurable accelerator conditional execution control data flow graph temporal partitioning reconfigurable processor
  • 著者標目Mehdipour, Farhad Noori, Hamid Zamani, Morteza Saheb 井上, 弘士 村上, 和彰
デジタル記事
Mehdipour, Farhad, Noori, Hamid, Zamani, Morteza Saheb, 井上, 弘士, 村上, 和彰電子情報通信学会2007-12-01IEICE Transactions on Information and SystemsE90-D 12p.1956-1966
インターネットで読める全国の図書館
  • 件名reconfigurable accelerator conditional execution control data flow graph temporal partitioning reconfigurable processor
  • 関連情報IEICE Transactions on Information and Systems || E90-D(12) || p1956-1966
  • 著者標目Mehdipour, Farhad Noori, Hamid Zamani, Morteza Saheb 井上, 弘士 村上, 和彰

検索結果は以上です。